# Copyright (C) 1991-2008 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # Quartus II Version 8.1 Build 163 10/28/2008 SJ Web Edition # File: C:\skola\vyuka\PB170 - Seminar z konstrukce\pins_DE2.csv # Generated on: Mon Dec 05 11:01:34 2011 # Note: The column header names should not be changed if you wish to import this .csv file into the Quartus II software. To,Direction,Location,I/O Bank,VREF Group,I/O Standard,Reserved,Group,Current Strength,PCB layer SW[0],Unknown,PIN_N25,5,B5_N1,,,,, SW[1],Unknown,PIN_N26,5,B5_N1,,,,, SW[2],Unknown,PIN_P25,6,B6_N0,,,,, SW[3],Unknown,PIN_AE14,7,B7_N1,,,,, SW[4],Unknown,PIN_AF14,7,B7_N1,,,,, SW[5],Unknown,PIN_AD13,8,B8_N0,,,,, SW[6],Unknown,PIN_AC13,8,B8_N0,,,,, SW[7],Unknown,PIN_C13,3,B3_N0,,,,, SW[8],Unknown,PIN_B13,4,B4_N1,,,,, SW[9],Unknown,PIN_A13,4,B4_N1,,,,, SW[10],Unknown,PIN_N1,2,B2_N1,,,,, SW[11],Unknown,PIN_P1,1,B1_N0,,,,, SW[12],Unknown,PIN_P2,1,B1_N0,,,,, SW[13],Unknown,PIN_T7,1,B1_N0,,,,, SW[14],Unknown,PIN_U3,1,B1_N0,,,,, SW[15],Unknown,PIN_U4,1,B1_N0,,,,, SW[16],Unknown,PIN_V1,1,B1_N0,,,,, SW[17],Unknown,PIN_V2,1,B1_N0,,,,, DRAM_ADDR[0],Unknown,PIN_T6,1,B1_N0,,,,, DRAM_ADDR[1],Unknown,PIN_V4,1,B1_N0,,,,, DRAM_ADDR[2],Unknown,PIN_V3,1,B1_N0,,,,, DRAM_ADDR[3],Unknown,PIN_W2,1,B1_N0,,,,, DRAM_ADDR[4],Unknown,PIN_W1,1,B1_N0,,,,, DRAM_ADDR[5],Unknown,PIN_U6,1,B1_N1,,,,, DRAM_ADDR[6],Unknown,PIN_U7,1,B1_N1,,,,, DRAM_ADDR[7],Unknown,PIN_U5,1,B1_N1,,,,, DRAM_ADDR[8],Unknown,PIN_W4,1,B1_N1,,,,, DRAM_ADDR[9],Unknown,PIN_W3,1,B1_N1,,,,, DRAM_ADDR[10],Unknown,PIN_Y1,1,B1_N1,,,,, DRAM_ADDR[11],Unknown,PIN_V5,1,B1_N1,,,,, DRAM_BA_0,Unknown,PIN_AE2,1,B1_N1,,,,, DRAM_BA_1,Unknown,PIN_AE3,1,B1_N1,,,,, DRAM_CAS_N,Unknown,PIN_AB3,1,B1_N1,,,,, DRAM_CKE,Unknown,PIN_AA6,1,B1_N1,,,,, DRAM_CLK,Unknown,PIN_AA7,1,B1_N1,,,,, DRAM_CS_N,Unknown,PIN_AC3,1,B1_N1,,,,, DRAM_DQ[0],Unknown,PIN_V6,1,B1_N1,,,,, DRAM_DQ[1],Unknown,PIN_AA2,1,B1_N1,,,,, DRAM_DQ[2],Unknown,PIN_AA1,1,B1_N1,,,,, DRAM_DQ[3],Unknown,PIN_Y3,1,B1_N1,,,,, DRAM_DQ[4],Unknown,PIN_Y4,1,B1_N1,,,,, DRAM_DQ[5],Unknown,PIN_R8,1,B1_N1,,,,, DRAM_DQ[6],Unknown,PIN_T8,1,B1_N1,,,,, DRAM_DQ[7],Unknown,PIN_V7,1,B1_N1,,,,, DRAM_DQ[8],Unknown,PIN_W6,1,B1_N1,,,,, DRAM_DQ[9],Unknown,PIN_AB2,1,B1_N1,,,,, DRAM_DQ[10],Unknown,PIN_AB1,1,B1_N1,,,,, DRAM_DQ[11],Unknown,PIN_AA4,1,B1_N1,,,,, DRAM_DQ[12],Unknown,PIN_AA3,1,B1_N1,,,,, DRAM_DQ[13],Unknown,PIN_AC2,1,B1_N1,,,,, DRAM_DQ[14],Unknown,PIN_AC1,1,B1_N1,,,,, DRAM_DQ[15],Unknown,PIN_AA5,1,B1_N1,,,,, DRAM_LDQM,Unknown,PIN_AD2,1,B1_N1,,,,, DRAM_UDQM,Unknown,PIN_Y5,1,B1_N1,,,,, DRAM_RAS_N,Unknown,PIN_AB4,1,B1_N1,,,,, DRAM_WE_N,Unknown,PIN_AD3,1,B1_N1,,,,, FL_ADDR[0],Unknown,PIN_AC18,7,B7_N0,,,,, FL_ADDR[1],Unknown,PIN_AB18,7,B7_N0,,,,, FL_ADDR[2],Unknown,PIN_AE19,7,B7_N1,,,,, FL_ADDR[3],Unknown,PIN_AF19,7,B7_N1,,,,, FL_ADDR[4],Unknown,PIN_AE18,7,B7_N1,,,,, FL_ADDR[5],Unknown,PIN_AF18,7,B7_N1,,,,, FL_ADDR[6],Unknown,PIN_Y16,7,B7_N1,,,,, FL_ADDR[7],Unknown,PIN_AA16,7,B7_N1,,,,, FL_ADDR[8],Unknown,PIN_AD17,7,B7_N1,,,,, FL_ADDR[9],Unknown,PIN_AC17,7,B7_N1,,,,, FL_ADDR[10],Unknown,PIN_AE17,7,B7_N1,,,,, FL_ADDR[11],Unknown,PIN_AF17,7,B7_N1,,,,, FL_ADDR[12],Unknown,PIN_W16,7,B7_N1,,,,, FL_ADDR[13],Unknown,PIN_W15,7,B7_N1,,,,, FL_ADDR[14],Unknown,PIN_AC16,7,B7_N1,,,,, FL_ADDR[15],Unknown,PIN_AD16,7,B7_N1,,,,, FL_ADDR[16],Unknown,PIN_AE16,7,B7_N1,,,,, FL_ADDR[17],Unknown,PIN_AC15,7,B7_N1,,,,, FL_ADDR[18],Unknown,PIN_AB15,7,B7_N1,,,,, FL_ADDR[19],Unknown,PIN_AA15,7,B7_N1,,,,, FL_CE_N,Unknown,PIN_V17,7,B7_N0,,,,, FL_OE_N,Unknown,PIN_W17,7,B7_N0,,,,, FL_DQ[0],Unknown,PIN_AD19,7,B7_N0,,,,, FL_DQ[1],Unknown,PIN_AC19,7,B7_N0,,,,, FL_DQ[2],Unknown,PIN_AF20,7,B7_N0,,,,, FL_DQ[3],Unknown,PIN_AE20,7,B7_N0,,,,, FL_DQ[4],Unknown,PIN_AB20,7,B7_N0,,,,, FL_DQ[5],Unknown,PIN_AC20,7,B7_N0,,,,, FL_DQ[6],Unknown,PIN_AF21,7,B7_N0,,,,, FL_DQ[7],Unknown,PIN_AE21,7,B7_N0,,,,, FL_RST_N,Unknown,PIN_AA18,7,B7_N0,,,,, FL_WE_N,Unknown,PIN_AA17,7,B7_N0,,,,, HEX0[0],Unknown,PIN_AF10,8,B8_N0,,,,, HEX0[1],Unknown,PIN_AB12,8,B8_N0,,,,, HEX0[2],Unknown,PIN_AC12,8,B8_N0,,,,, HEX0[3],Unknown,PIN_AD11,8,B8_N0,,,,, HEX0[4],Unknown,PIN_AE11,8,B8_N0,,,,, HEX0[5],Unknown,PIN_V14,8,B8_N0,,,,, HEX0[6],Unknown,PIN_V13,8,B8_N0,,,,, HEX1[0],Unknown,PIN_V20,6,B6_N1,,,,, HEX1[1],Unknown,PIN_V21,6,B6_N1,,,,, HEX1[2],Unknown,PIN_W21,6,B6_N1,,,,, HEX1[3],Unknown,PIN_Y22,6,B6_N1,,,,, HEX1[4],Unknown,PIN_AA24,6,B6_N1,,,,, HEX1[5],Unknown,PIN_AA23,6,B6_N1,,,,, HEX1[6],Unknown,PIN_AB24,6,B6_N1,,,,, HEX2[0],Unknown,PIN_AB23,6,B6_N1,,,,, HEX2[1],Unknown,PIN_V22,6,B6_N1,,,,, HEX2[2],Unknown,PIN_AC25,6,B6_N1,,,,, HEX2[3],Unknown,PIN_AC26,6,B6_N1,,,,, HEX2[4],Unknown,PIN_AB26,6,B6_N1,,,,, HEX2[5],Unknown,PIN_AB25,6,B6_N1,,,,, HEX2[6],Unknown,PIN_Y24,6,B6_N1,,,,, HEX3[0],Unknown,PIN_Y23,6,B6_N1,,,,, HEX3[1],Unknown,PIN_AA25,6,B6_N1,,,,, HEX3[2],Unknown,PIN_AA26,6,B6_N1,,,,, HEX3[3],Unknown,PIN_Y26,6,B6_N1,,,,, HEX3[4],Unknown,PIN_Y25,6,B6_N1,,,,, HEX3[5],Unknown,PIN_U22,6,B6_N1,,,,, HEX3[6],Unknown,PIN_W24,6,B6_N1,,,,, HEX4[0],Unknown,PIN_U9,1,B1_N0,,,,, HEX4[1],Unknown,PIN_U1,1,B1_N0,,,,, HEX4[2],Unknown,PIN_U2,1,B1_N0,,,,, HEX4[3],Unknown,PIN_T4,1,B1_N0,,,,, HEX4[4],Unknown,PIN_R7,1,B1_N0,,,,, HEX4[5],Unknown,PIN_R6,1,B1_N0,,,,, HEX4[6],Unknown,PIN_T3,1,B1_N0,,,,, HEX5[0],Unknown,PIN_T2,1,B1_N0,,,,, HEX5[1],Unknown,PIN_P6,1,B1_N0,,,,, HEX5[2],Unknown,PIN_P7,1,B1_N0,,,,, HEX5[3],Unknown,PIN_T9,1,B1_N0,,,,, HEX5[4],Unknown,PIN_R5,1,B1_N0,,,,, HEX5[5],Unknown,PIN_R4,1,B1_N0,,,,, HEX5[6],Unknown,PIN_R3,1,B1_N0,,,,, HEX6[0],Unknown,PIN_R2,1,B1_N0,,,,, HEX6[1],Unknown,PIN_P4,1,B1_N0,,,,, HEX6[2],Unknown,PIN_P3,1,B1_N0,,,,, HEX6[3],Unknown,PIN_M2,2,B2_N1,,,,, HEX6[4],Unknown,PIN_M3,2,B2_N1,,,,, HEX6[5],Unknown,PIN_M5,2,B2_N1,,,,, HEX6[6],Unknown,PIN_M4,2,B2_N1,,,,, HEX7[0],Unknown,PIN_L3,2,B2_N1,,,,, HEX7[1],Unknown,PIN_L2,2,B2_N1,,,,, HEX7[2],Unknown,PIN_L9,2,B2_N1,,,,, HEX7[3],Unknown,PIN_L6,2,B2_N1,,,,, HEX7[4],Unknown,PIN_L7,2,B2_N1,,,,, HEX7[5],Unknown,PIN_P9,2,B2_N1,,,,, HEX7[6],Unknown,PIN_N9,2,B2_N1,,,,, KEY[0],Unknown,PIN_G26,5,B5_N0,,,,, KEY[1],Unknown,PIN_N23,5,B5_N1,,,,, KEY[2],Unknown,PIN_P23,6,B6_N0,,,,, KEY[3],Unknown,PIN_W26,6,B6_N1,,,,, LEDR[0],Unknown,PIN_AE23,7,B7_N0,,,,, LEDR[1],Unknown,PIN_AF23,7,B7_N0,,,,, LEDR[2],Unknown,PIN_AB21,7,B7_N0,,,,, LEDR[3],Unknown,PIN_AC22,7,B7_N0,,,,, LEDR[4],Unknown,PIN_AD22,7,B7_N0,,,,, LEDR[5],Unknown,PIN_AD23,7,B7_N0,,,,, LEDR[6],Unknown,PIN_AD21,7,B7_N0,,,,, LEDR[7],Unknown,PIN_AC21,7,B7_N0,,,,, LEDR[8],Unknown,PIN_AA14,7,B7_N1,,,,, LEDR[9],Unknown,PIN_Y13,7,B7_N1,,,,, LEDR[10],Unknown,PIN_AA13,7,B7_N1,,,,, LEDR[11],Unknown,PIN_AC14,7,B7_N1,,,,, LEDR[12],Unknown,PIN_AD15,7,B7_N1,,,,, LEDR[13],Unknown,PIN_AE15,7,B7_N1,,,,, LEDR[14],Unknown,PIN_AF13,8,B8_N0,,,,, LEDR[15],Unknown,PIN_AE13,8,B8_N0,,,,, LEDR[16],Unknown,PIN_AE12,8,B8_N0,,,,, LEDR[17],Unknown,PIN_AD12,8,B8_N0,,,,, LEDG[0],Unknown,PIN_AE22,7,B7_N0,,,,, LEDG[1],Unknown,PIN_AF22,7,B7_N0,,,,, LEDG[2],Unknown,PIN_W19,7,B7_N0,,,,, LEDG[3],Unknown,PIN_V18,7,B7_N0,,,,, LEDG[4],Unknown,PIN_U18,7,B7_N0,,,,, LEDG[5],Unknown,PIN_U17,7,B7_N0,,,,, LEDG[6],Unknown,PIN_AA20,7,B7_N0,,,,, LEDG[7],Unknown,PIN_Y18,7,B7_N0,,,,, LEDG[8],Unknown,PIN_Y12,8,B8_N0,,,,, CLOCK_27,Unknown,PIN_D13,3,B3_N0,,,,, CLOCK_50,Unknown,PIN_N2,2,B2_N1,,,,, EXT_CLOCK,Unknown,PIN_P26,6,B6_N0,,,,, PS2_CLK,Unknown,PIN_D26,5,B5_N0,,,,, PS2_DAT,Unknown,PIN_C24,5,B5_N0,,,,, UART_RXD,Unknown,PIN_C25,5,B5_N0,,,,, UART_TXD,Unknown,PIN_B25,5,B5_N0,,,,, LCD_RW,Unknown,PIN_K4,2,B2_N1,,,,, LCD_EN,Unknown,PIN_K3,2,B2_N1,,,,, LCD_RS,Unknown,PIN_K1,2,B2_N1,,,,, LCD_DATA[0],Unknown,PIN_J1,2,B2_N1,,,,, LCD_DATA[1],Unknown,PIN_J2,2,B2_N1,,,,, LCD_DATA[2],Unknown,PIN_H1,2,B2_N1,,,,, LCD_DATA[3],Unknown,PIN_H2,2,B2_N1,,,,, LCD_DATA[4],Unknown,PIN_J4,2,B2_N1,,,,, LCD_DATA[5],Unknown,PIN_J3,2,B2_N1,,,,, LCD_DATA[6],Unknown,PIN_H4,2,B2_N1,,,,, LCD_DATA[7],Unknown,PIN_H3,2,B2_N1,,,,, LCD_ON,Unknown,PIN_L4,2,B2_N1,,,,, LCD_BLON,Unknown,PIN_K2,2,B2_N1,,,,, SRAM_ADDR[0],Unknown,PIN_AE4,8,B8_N1,,,,, SRAM_ADDR[1],Unknown,PIN_AF4,8,B8_N1,,,,, SRAM_ADDR[2],Unknown,PIN_AC5,8,B8_N1,,,,, SRAM_ADDR[3],Unknown,PIN_AC6,8,B8_N1,,,,, SRAM_ADDR[4],Unknown,PIN_AD4,8,B8_N1,,,,, SRAM_ADDR[5],Unknown,PIN_AD5,8,B8_N1,,,,, SRAM_ADDR[6],Unknown,PIN_AE5,8,B8_N1,,,,, SRAM_ADDR[7],Unknown,PIN_AF5,8,B8_N1,,,,, SRAM_ADDR[8],Unknown,PIN_AD6,8,B8_N1,,,,, SRAM_ADDR[9],Unknown,PIN_AD7,8,B8_N1,,,,, SRAM_ADDR[10],Unknown,PIN_V10,8,B8_N1,,,,, SRAM_ADDR[11],Unknown,PIN_V9,8,B8_N1,,,,, SRAM_ADDR[12],Unknown,PIN_AC7,8,B8_N1,,,,, SRAM_ADDR[13],Unknown,PIN_W8,8,B8_N1,,,,, SRAM_ADDR[14],Unknown,PIN_W10,8,B8_N1,,,,, SRAM_ADDR[15],Unknown,PIN_Y10,8,B8_N1,,,,, SRAM_ADDR[16],Unknown,PIN_AB8,8,B8_N1,,,,, SRAM_ADDR[17],Unknown,PIN_AC8,8,B8_N1,,,,, SRAM_DQ[0],Unknown,PIN_AD8,8,B8_N1,,,,, SRAM_DQ[1],Unknown,PIN_AE6,8,B8_N1,,,,, SRAM_DQ[2],Unknown,PIN_AF6,8,B8_N1,,,,, SRAM_DQ[3],Unknown,PIN_AA9,8,B8_N1,,,,, SRAM_DQ[4],Unknown,PIN_AA10,8,B8_N1,,,,, SRAM_DQ[5],Unknown,PIN_AB10,8,B8_N1,,,,, SRAM_DQ[6],Unknown,PIN_AA11,8,B8_N1,,,,, SRAM_DQ[7],Unknown,PIN_Y11,8,B8_N1,,,,, SRAM_DQ[8],Unknown,PIN_AE7,8,B8_N1,,,,, SRAM_DQ[9],Unknown,PIN_AF7,8,B8_N1,,,,, SRAM_DQ[10],Unknown,PIN_AE8,8,B8_N0,,,,, SRAM_DQ[11],Unknown,PIN_AF8,8,B8_N0,,,,, SRAM_DQ[12],Unknown,PIN_W11,8,B8_N0,,,,, SRAM_DQ[13],Unknown,PIN_W12,8,B8_N0,,,,, SRAM_DQ[14],Unknown,PIN_AC9,8,B8_N0,,,,, SRAM_DQ[15],Unknown,PIN_AC10,8,B8_N0,,,,, SRAM_WE_N,Unknown,PIN_AE10,8,B8_N0,,,,, SRAM_OE_N,Unknown,PIN_AD10,8,B8_N0,,,,, SRAM_UB_N,Unknown,PIN_AF9,8,B8_N0,,,,, SRAM_LB_N,Unknown,PIN_AE9,8,B8_N0,,,,, SRAM_CE_N,Unknown,PIN_AC11,8,B8_N0,,,,, OTG_ADDR[0],Unknown,PIN_K7,2,B2_N0,,,,, OTG_ADDR[1],Unknown,PIN_F2,2,B2_N0,,,,, OTG_CS_N,Unknown,PIN_F1,2,B2_N0,,,,, OTG_RD_N,Unknown,PIN_G2,2,B2_N0,,,,, OTG_WR_N,Unknown,PIN_G1,2,B2_N0,,,,, OTG_RST_N,Unknown,PIN_G5,2,B2_N0,,,,, OTG_DATA[0],Unknown,PIN_F4,2,B2_N0,,,,, OTG_DATA[1],Unknown,PIN_D2,2,B2_N0,,,,, OTG_DATA[2],Unknown,PIN_D1,2,B2_N0,,,,, OTG_DATA[3],Unknown,PIN_F7,2,B2_N0,,,,, OTG_DATA[4],Unknown,PIN_J5,2,B2_N0,,,,, OTG_DATA[5],Unknown,PIN_J8,2,B2_N0,,,,, OTG_DATA[6],Unknown,PIN_J7,2,B2_N0,,,,, OTG_DATA[7],Unknown,PIN_H6,2,B2_N0,,,,, OTG_DATA[8],Unknown,PIN_E2,2,B2_N0,,,,, OTG_DATA[9],Unknown,PIN_E1,2,B2_N0,,,,, OTG_DATA[10],Unknown,PIN_K6,2,B2_N0,,,,, OTG_DATA[11],Unknown,PIN_K5,2,B2_N0,,,,, OTG_DATA[12],Unknown,PIN_G4,2,B2_N0,,,,, OTG_DATA[13],Unknown,PIN_G3,2,B2_N0,,,,, OTG_DATA[14],Unknown,PIN_J6,2,B2_N0,,,,, OTG_DATA[15],Unknown,PIN_K8,2,B2_N0,,,,, OTG_INT0,Unknown,PIN_B3,2,B2_N0,,,,, OTG_INT1,Unknown,PIN_C3,2,B2_N0,,,,, OTG_DACK0_N,Unknown,PIN_C2,2,B2_N0,,,,, OTG_DACK1_N,Unknown,PIN_B2,2,B2_N0,,,,, OTG_DREQ0,Unknown,PIN_F6,2,B2_N0,,,,, OTG_DREQ1,Unknown,PIN_E5,2,B2_N0,,,,, OTG_FSPEED,Unknown,PIN_F3,2,B2_N0,,,,, OTG_LSPEED,Unknown,PIN_G6,2,B2_N0,,,,, TDI,Unknown,PIN_B14,4,B4_N1,,,,, TCS,Unknown,PIN_A14,4,B4_N1,,,,, TCK,Unknown,PIN_D14,4,B4_N1,,,,, TDO,Unknown,PIN_F14,4,B4_N1,,,,, TD_RESET,Unknown,PIN_C4,3,B3_N1,,,,, VGA_R[0],Unknown,PIN_C8,3,B3_N1,,,,, VGA_R[1],Unknown,PIN_F10,3,B3_N1,,,,, VGA_R[2],Unknown,PIN_G10,3,B3_N1,,,,, VGA_R[3],Unknown,PIN_D9,3,B3_N1,,,,, VGA_R[4],Unknown,PIN_C9,3,B3_N1,,,,, VGA_R[5],Unknown,PIN_A8,3,B3_N0,,,,, VGA_R[6],Unknown,PIN_H11,3,B3_N0,,,,, VGA_R[7],Unknown,PIN_H12,3,B3_N0,,,,, VGA_R[8],Unknown,PIN_F11,3,B3_N0,,,,, VGA_R[9],Unknown,PIN_E10,3,B3_N0,,,,, VGA_G[0],Unknown,PIN_B9,3,B3_N0,,,,, VGA_G[1],Unknown,PIN_A9,3,B3_N0,,,,, VGA_G[2],Unknown,PIN_C10,3,B3_N0,,,,, VGA_G[3],Unknown,PIN_D10,3,B3_N0,,,,, VGA_G[4],Unknown,PIN_B10,3,B3_N0,,,,, VGA_G[5],Unknown,PIN_A10,3,B3_N0,,,,, VGA_G[6],Unknown,PIN_G11,3,B3_N0,,,,, VGA_G[7],Unknown,PIN_D11,3,B3_N0,,,,, VGA_G[8],Unknown,PIN_E12,3,B3_N0,,,,, VGA_G[9],Unknown,PIN_D12,3,B3_N0,,,,, VGA_B[0],Unknown,PIN_J13,3,B3_N0,,,,, VGA_B[1],Unknown,PIN_J14,3,B3_N0,,,,, VGA_B[2],Unknown,PIN_F12,3,B3_N0,,,,, VGA_B[3],Unknown,PIN_G12,3,B3_N0,,,,, VGA_B[4],Unknown,PIN_J10,3,B3_N0,,,,, VGA_B[5],Unknown,PIN_J11,3,B3_N0,,,,, VGA_B[6],Unknown,PIN_C11,3,B3_N0,,,,, VGA_B[7],Unknown,PIN_B11,3,B3_N0,,,,, VGA_B[8],Unknown,PIN_C12,3,B3_N0,,,,, VGA_B[9],Unknown,PIN_B12,3,B3_N0,,,,, VGA_CLK,Unknown,PIN_B8,3,B3_N0,,,,, VGA_BLANK,Unknown,PIN_D6,3,B3_N1,,,,, VGA_HS,Unknown,PIN_A7,3,B3_N1,,,,, VGA_VS,Unknown,PIN_D8,3,B3_N1,,,,, VGA_SYNC,Unknown,PIN_B7,3,B3_N1,,,,, I2C_SCLK,Unknown,PIN_A6,3,B3_N1,,,,, I2C_SDAT,Unknown,PIN_B6,3,B3_N1,,,,, TD_DATA[0],Unknown,PIN_J9,3,B3_N1,3.3-V LVTTL,,,, TD_DATA[1],Unknown,PIN_E8,3,B3_N1,3.3-V LVTTL,,,, TD_DATA[2],Unknown,PIN_H8,3,B3_N1,3.3-V LVTTL,,,, TD_DATA[3],Unknown,PIN_H10,3,B3_N1,3.3-V LVTTL,,,, TD_DATA[4],Unknown,PIN_G9,3,B3_N1,3.3-V LVTTL,,,, TD_DATA[5],Unknown,PIN_F9,3,B3_N1,3.3-V LVTTL,,,, TD_DATA[6],Unknown,PIN_D7,3,B3_N1,3.3-V LVTTL,,,, TD_DATA[7],Unknown,PIN_C7,3,B3_N1,3.3-V LVTTL,,,, TD_HS,Unknown,PIN_D5,3,B3_N1,3.3-V LVTTL,,,, TD_VS,Unknown,PIN_K9,3,B3_N1,3.3-V LVTTL,,,, AUD_ADCLRCK,Unknown,PIN_C5,3,B3_N1,3.3-V LVTTL,,,, AUD_ADCDAT,Unknown,PIN_B5,3,B3_N1,3.3-V LVTTL,,,, AUD_DACLRCK,Unknown,PIN_C6,3,B3_N1,3.3-V LVTTL,,,, AUD_DACDAT,Unknown,PIN_A4,3,B3_N1,3.3-V LVTTL,,,, AUD_XCK,Unknown,PIN_A5,3,B3_N1,3.3-V LVTTL,,,, AUD_BCLK,Unknown,PIN_B4,3,B3_N1,3.3-V LVTTL,,,, ENET_DATA[0],Unknown,PIN_D17,4,B4_N1,3.3-V LVTTL,,,, ENET_DATA[1],Unknown,PIN_C17,4,B4_N1,,,,, ENET_DATA[2],Unknown,PIN_B18,4,B4_N1,,,,, ENET_DATA[3],Unknown,PIN_A18,4,B4_N1,,,,, ENET_DATA[4],Unknown,PIN_B17,4,B4_N1,,,,, ENET_DATA[5],Unknown,PIN_A17,4,B4_N1,,,,, ENET_DATA[6],Unknown,PIN_B16,4,B4_N1,,,,, ENET_DATA[7],Unknown,PIN_B15,4,B4_N1,,,,, ENET_DATA[8],Unknown,PIN_B20,4,B4_N0,,,,, ENET_DATA[9],Unknown,PIN_A20,4,B4_N0,,,,, ENET_DATA[10],Unknown,PIN_C19,4,B4_N0,,,,, ENET_DATA[11],Unknown,PIN_D19,4,B4_N0,,,,, ENET_DATA[12],Unknown,PIN_B19,4,B4_N0,,,,, ENET_DATA[13],Unknown,PIN_A19,4,B4_N0,,,,, ENET_DATA[14],Unknown,PIN_E18,4,B4_N0,,,,, ENET_DATA[15],Unknown,PIN_D18,4,B4_N0,,,,, ENET_CLK,Unknown,PIN_B24,5,B5_N0,,,,, ENET_CMD,Unknown,PIN_A21,4,B4_N0,,,,, ENET_CS_N,Unknown,PIN_A23,4,B4_N0,,,,, ENET_INT,Unknown,PIN_B21,4,B4_N0,,,,, ENET_RD_N,Unknown,PIN_A22,4,B4_N0,,,,, ENET_WR_N,Unknown,PIN_B22,4,B4_N0,,,,, ENET_RST_N,Unknown,PIN_B23,4,B4_N0,,,,, IRDA_TXD,Unknown,PIN_AE24,6,B6_N1,,,,, IRDA_RXD,Unknown,PIN_AE25,6,B6_N1,,,,, SD_DAT,Unknown,PIN_AD24,6,B6_N1,,,,, SD_DAT3,Unknown,PIN_AC23,6,B6_N1,3.3-V LVTTL,,,, SD_CMD,Unknown,PIN_Y21,6,B6_N1,,,,, SD_CLK,Unknown,PIN_AD25,6,B6_N1,,,,, GPIO_0[0],Unknown,PIN_D25,5,B5_N0,,,,, GPIO_0[1],Unknown,PIN_J22,5,B5_N0,,,,, GPIO_0[2],Unknown,PIN_E26,5,B5_N0,,,,, GPIO_0[3],Unknown,PIN_E25,5,B5_N0,,,,, GPIO_0[4],Unknown,PIN_F24,5,B5_N0,,,,, GPIO_0[5],Unknown,PIN_F23,5,B5_N0,,,,, GPIO_0[6],Unknown,PIN_J21,5,B5_N0,,,,, GPIO_0[7],Unknown,PIN_J20,5,B5_N0,,,,, GPIO_0[8],Unknown,PIN_F25,5,B5_N0,,,,, GPIO_0[9],Unknown,PIN_F26,5,B5_N0,,,,, GPIO_0[10],Unknown,PIN_N18,5,B5_N0,,,,, GPIO_0[11],Unknown,PIN_P18,5,B5_N0,,,,, GPIO_0[12],Unknown,PIN_G23,5,B5_N0,,,,, GPIO_0[13],Unknown,PIN_G24,5,B5_N0,,,,, GPIO_0[14],Unknown,PIN_K22,5,B5_N0,,,,, GPIO_0[15],Unknown,PIN_G25,5,B5_N0,,,,, GPIO_0[16],Unknown,PIN_H23,5,B5_N1,,,,, GPIO_0[17],Unknown,PIN_H24,5,B5_N1,,,,, GPIO_0[18],Unknown,PIN_J23,5,B5_N1,,,,, GPIO_0[19],Unknown,PIN_J24,5,B5_N1,,,,, GPIO_0[20],Unknown,PIN_H25,5,B5_N1,,,,, GPIO_0[21],Unknown,PIN_H26,5,B5_N1,,,,, GPIO_0[22],Unknown,PIN_H19,5,B5_N1,,,,, GPIO_0[23],Unknown,PIN_K18,5,B5_N1,,,,, GPIO_0[24],Unknown,PIN_K19,5,B5_N1,,,,, GPIO_0[25],Unknown,PIN_K21,5,B5_N1,,,,, GPIO_0[26],Unknown,PIN_K23,5,B5_N1,,,,, GPIO_0[27],Unknown,PIN_K24,5,B5_N1,,,,, GPIO_0[28],Unknown,PIN_L21,5,B5_N1,,,,, GPIO_0[29],Unknown,PIN_L20,5,B5_N1,,,,, GPIO_0[30],Unknown,PIN_J25,5,B5_N1,,,,, GPIO_0[31],Unknown,PIN_J26,5,B5_N1,,,,, GPIO_0[32],Unknown,PIN_L23,5,B5_N1,,,,, GPIO_0[33],Unknown,PIN_L24,5,B5_N1,,,,, GPIO_0[34],Unknown,PIN_L25,5,B5_N1,,,,, GPIO_0[35],Unknown,PIN_L19,5,B5_N1,,,,, GPIO_1[0],Unknown,PIN_K25,5,B5_N1,,,,, GPIO_1[1],Unknown,PIN_K26,5,B5_N1,,,,, GPIO_1[2],Unknown,PIN_M22,5,B5_N1,,,,, GPIO_1[3],Unknown,PIN_M23,5,B5_N1,,,,, GPIO_1[4],Unknown,PIN_M19,5,B5_N1,,,,, GPIO_1[5],Unknown,PIN_M20,5,B5_N1,,,,, GPIO_1[6],Unknown,PIN_N20,5,B5_N1,,,,, GPIO_1[7],Unknown,PIN_M21,5,B5_N1,,,,, GPIO_1[8],Unknown,PIN_M24,5,B5_N1,,,,, GPIO_1[9],Unknown,PIN_M25,5,B5_N1,,,,, GPIO_1[10],Unknown,PIN_N24,5,B5_N1,,,,, GPIO_1[11],Unknown,PIN_P24,6,B6_N0,,,,, GPIO_1[12],Unknown,PIN_R25,6,B6_N0,,,,, GPIO_1[13],Unknown,PIN_R24,6,B6_N0,,,,, GPIO_1[14],Unknown,PIN_R20,6,B6_N0,,,,, GPIO_1[15],Unknown,PIN_T22,6,B6_N0,,,,, GPIO_1[16],Unknown,PIN_T23,6,B6_N0,,,,, GPIO_1[17],Unknown,PIN_T24,6,B6_N0,,,,, GPIO_1[18],Unknown,PIN_T25,6,B6_N0,,,,, GPIO_1[19],Unknown,PIN_T18,6,B6_N0,,,,, GPIO_1[20],Unknown,PIN_T21,6,B6_N0,,,,, GPIO_1[21],Unknown,PIN_T20,6,B6_N0,,,,, GPIO_1[22],Unknown,PIN_U26,6,B6_N0,,,,, GPIO_1[23],Unknown,PIN_U25,6,B6_N0,,,,, GPIO_1[24],Unknown,PIN_U23,6,B6_N0,,,,, GPIO_1[25],Unknown,PIN_U24,6,B6_N0,,,,, GPIO_1[26],Unknown,PIN_R19,6,B6_N0,,,,, GPIO_1[27],Unknown,PIN_T19,6,B6_N0,,,,, GPIO_1[28],Unknown,PIN_U20,6,B6_N0,,,,, GPIO_1[29],Unknown,PIN_U21,6,B6_N0,,,,, GPIO_1[30],Unknown,PIN_V26,6,B6_N0,,,,, GPIO_1[31],Unknown,PIN_V25,6,B6_N0,,,,, GPIO_1[32],Unknown,PIN_V24,6,B6_N0,,,,, GPIO_1[33],Unknown,PIN_V23,6,B6_N0,,,,, GPIO_1[34],Unknown,PIN_W25,6,B6_N1,,,,, GPIO_1[35],Unknown,PIN_W23,6,B6_N1,,,,, FL_ADDR[20],Unknown,PIN_Y15,7,B7_N1,3.3-V LVTTL,,,, FL_ADDR[21],Unknown,PIN_Y14,7,B7_N1,3.3-V LVTTL,,,, ,Unknown,PIN_E4,,,,,,, ,Unknown,PIN_H7,,,,,,, ,Unknown,PIN_G7,,,,,,, ,Unknown,PIN_E3,2,B2_N0,,,,, ,Unknown,PIN_D3,2,B2_N0,,,,, ,Unknown,PIN_L10,2,B2_N1,,,,, ,Unknown,PIN_M8,,,,,,, ,Unknown,PIN_M6,2,B2_N1,,,,, ,Unknown,PIN_L8,2,B2_N1,,,,, ,Unknown,PIN_M7,2,B2_N1,,,,, ,Unknown,PIN_N6,,,,,,, ,Unknown,PIN_N3,2,B2_N1,,,,, ,Unknown,PIN_N4,2,B2_N1,,,,, ,Unknown,PIN_N7,2,B2_N1,,,,, ,Unknown,PIN_T10,1,B1_N0,,,,, ,Unknown,PIN_U10,,,,,,, ,Unknown,PIN_W7,,,,,,, ,Unknown,PIN_Y7,,,,,,, ,Unknown,PIN_Y6,,,,,,, ,Unknown,PIN_AA8,,,,,,, ,Unknown,PIN_Y8,,,,,,, ,Unknown,PIN_V11,8,B8_N0,,,,, ,Unknown,PIN_U12,8,B8_N0,,,,, ,Unknown,PIN_AA12,8,B8_N0,,,,, ,Unknown,PIN_Y19,,,,,,, ,Unknown,PIN_AA19,,,,,,, ,Unknown,PIN_AA21,,,,,,, ,Unknown,PIN_Y20,,,,,,, ,Unknown,PIN_W20,,,,,,, ,Unknown,PIN_T17,6,B6_N0,,,,, ,Unknown,PIN_P17,6,B6_N0,,,,, ,Unknown,PIN_R17,6,B6_N0,,,,, ,Unknown,PIN_R22,6,B6_N0,,,,, ,Unknown,PIN_R23,6,B6_N0,,,,, ,Unknown,PIN_P21,6,B6_N0,,,,, ,Unknown,PIN_P20,6,B6_N0,,,,, ,Unknown,PIN_E24,5,B5_N0,,,,, ,Unknown,PIN_E23,5,B5_N0,,,,, ,Unknown,PIN_H21,5,B5_N0,,,,, ,Unknown,PIN_G22,5,B5_N0,,,,, ,Unknown,PIN_G21,5,B5_N0,,,,, ,Unknown,PIN_D23,5,B5_N0,,,,, ,Unknown,PIN_E22,5,B5_N0,,,,, ,Unknown,PIN_F21,5,B5_N0,,,,, ,Unknown,PIN_F20,5,B5_N0,,,,, ,Unknown,PIN_G20,,,,,,, ,Unknown,PIN_H20,,,,,,, ,Unknown,PIN_E21,,,,,,, ,Unknown,PIN_G19,,,,,,, ,Unknown,PIN_F19,,,,,,, ,Unknown,PIN_C23,4,B4_N0,,,,, ,Unknown,PIN_C22,4,B4_N0,,,,, ,Unknown,PIN_C21,4,B4_N0,,,,, ,Unknown,PIN_D21,4,B4_N0,,,,, ,Unknown,PIN_J18,4,B4_N0,,,,, ,Unknown,PIN_K17,4,B4_N0,,,,, ,Unknown,PIN_J16,4,B4_N0,,,,, ,Unknown,PIN_K16,4,B4_N0,,,,, ,Unknown,PIN_D20,4,B4_N0,,,,, ,Unknown,PIN_E20,4,B4_N0,,,,, ,Unknown,PIN_G18,4,B4_N0,,,,, ,Unknown,PIN_F18,4,B4_N0,,,,, ,Unknown,PIN_J17,4,B4_N0,,,,, ,Unknown,PIN_H17,4,B4_N0,,,,, ,Unknown,PIN_F17,4,B4_N0,,,,, ,Unknown,PIN_G17,4,B4_N0,,,,, ,Unknown,PIN_G16,4,B4_N1,,,,, ,Unknown,PIN_F16,4,B4_N1,,,,, ,Unknown,PIN_F15,4,B4_N1,,,,, ,Unknown,PIN_G15,4,B4_N1,,,,, ,Unknown,PIN_H16,4,B4_N1,,,,, ,Unknown,PIN_H15,4,B4_N1,,,,, ,Unknown,PIN_D16,4,B4_N1,,,,, ,Unknown,PIN_E15,4,B4_N1,,,,, ,Unknown,PIN_D15,4,B4_N1,,,,, ,Unknown,PIN_C16,4,B4_N1,,,,, ,Unknown,PIN_C15,4,B4_N1,,,,, ,Unknown,PIN_G13,4,B4_N1,,,,, ,Unknown,PIN_F13,4,B4_N1,,,,, ,Unknown,PIN_G14,4,B4_N1,,,,, ,Unknown,PIN_F8,,,,,,, ,Unknown,PIN_G8,,,,,,, ,Unknown,PIN_K10,,,,,,, ,Unknown,PIN_K11,,,,,,, ,Unknown,PIN_K12,,,,,,, ,Unknown,PIN_K13,,,,,,, ,Unknown,PIN_K14,,,,,,, ,Unknown,PIN_K15,,,,,,, ,Unknown,PIN_L11,,,,,,, ,Unknown,PIN_L16,,,,,,, ,Unknown,PIN_L17,,,,,,, ,Unknown,PIN_L18,,,,,,, ,Unknown,PIN_M10,,,,,,, ,Unknown,PIN_M11,,,,,,, ,Unknown,PIN_M16,,,,,,, ,Unknown,PIN_M17,,,,,,, ,Unknown,PIN_N10,,,,,,, ,Unknown,PIN_N17,,,,,,, ,Unknown,PIN_P10,,,,,,, ,Unknown,PIN_R10,,,,,,, ,Unknown,PIN_R11,,,,,,, ,Unknown,PIN_R16,,,,,,, ,Unknown,PIN_T11,,,,,,, ,Unknown,PIN_T16,,,,,,, ,Unknown,PIN_U11,,,,,,, ,Unknown,PIN_U13,,,,,,, ,Unknown,PIN_U14,,,,,,, ,Unknown,PIN_U15,,,,,,, ,Unknown,PIN_U16,,,,,,, ,Unknown,PIN_V16,,,,,,, ,Unknown,PIN_C1,2,,,,,, ,Unknown,PIN_F5,2,,,,,, ,Unknown,PIN_L1,2,,,,,, ,Unknown,PIN_M9,2,,,,,, ,Unknown,PIN_N5,2,,,,,, ,Unknown,PIN_AB5,1,,,,,, ,Unknown,PIN_AD1,1,,,,,, ,Unknown,PIN_P5,1,,,,,, ,Unknown,PIN_R9,1,,,,,, ,Unknown,PIN_T1,1,,,,,, ,Unknown,PIN_V8,1,,,,,, ,Unknown,PIN_AB6,8,,,,,, ,Unknown,PIN_AB9,8,,,,,, ,Unknown,PIN_AB13,8,,,,,, ,Unknown,PIN_AF3,8,,,,,, ,Unknown,PIN_AF11,8,,,,,, ,Unknown,PIN_V12,8,,,,,, ,Unknown,PIN_W9,8,,,,,, ,Unknown,PIN_AB14,7,,,,,, ,Unknown,PIN_AB17,7,,,,,, ,Unknown,PIN_AB22,7,,,,,, ,Unknown,PIN_AD20,7,,,,,, ,Unknown,PIN_AF16,7,,,,,, ,Unknown,PIN_AF24,7,,,,,, ,Unknown,PIN_V15,7,,,,,, ,Unknown,PIN_W18,7,,,,,, ,Unknown,PIN_AA22,6,,,,,, ,Unknown,PIN_AD26,6,,,,,, ,Unknown,PIN_P22,6,,,,,, ,Unknown,PIN_R18,6,,,,,, ,Unknown,PIN_T26,6,,,,,, ,Unknown,PIN_V19,6,,,,,, ,Unknown,PIN_C26,5,,,,,, ,Unknown,PIN_F22,5,,,,,, ,Unknown,PIN_J19,5,,,,,, ,Unknown,PIN_L26,5,,,,,, ,Unknown,PIN_M18,5,,,,,, ,Unknown,PIN_N22,5,,,,,, ,Unknown,PIN_A16,4,,,,,, ,Unknown,PIN_A24,4,,,,,, ,Unknown,PIN_C20,4,,,,,, ,Unknown,PIN_D22,4,,,,,, ,Unknown,PIN_E14,4,,,,,, ,Unknown,PIN_E17,4,,,,,, ,Unknown,PIN_H18,4,,,,,, ,Unknown,PIN_J15,4,,,,,, ,Unknown,PIN_A3,3,,,,,, ,Unknown,PIN_A11,3,,,,,, ,Unknown,PIN_E6,3,,,,,, ,Unknown,PIN_E9,3,,,,,, ,Unknown,PIN_E13,3,,,,,, ,Unknown,PIN_H9,3,,,,,, ,Unknown,PIN_J12,3,,,,,, ,Unknown,PIN_L12,,,,,,, ,Unknown,PIN_L13,,,,,,, ,Unknown,PIN_L14,,,,,,, ,Unknown,PIN_L15,,,,,,, ,Unknown,PIN_M12,,,,,,, ,Unknown,PIN_M13,,,,,,, ,Unknown,PIN_M14,,,,,,, ,Unknown,PIN_M15,,,,,,, ,Unknown,PIN_N11,,,,,,, ,Unknown,PIN_N12,,,,,,, ,Unknown,PIN_N13,,,,,,, ,Unknown,PIN_N14,,,,,,, ,Unknown,PIN_N15,,,,,,, ,Unknown,PIN_N16,,,,,,, ,Unknown,PIN_P11,,,,,,, ,Unknown,PIN_P12,,,,,,, ,Unknown,PIN_P13,,,,,,, ,Unknown,PIN_P14,,,,,,, ,Unknown,PIN_P15,,,,,,, ,Unknown,PIN_P16,,,,,,, ,Unknown,PIN_R12,,,,,,, ,Unknown,PIN_R13,,,,,,, ,Unknown,PIN_R14,,,,,,, ,Unknown,PIN_R15,,,,,,, ,Unknown,PIN_T12,,,,,,, ,Unknown,PIN_T13,,,,,,, ,Unknown,PIN_T14,,,,,,, ,Unknown,PIN_T15,,,,,,, ,Unknown,PIN_A2,,,,,,, ,Unknown,PIN_A12,,,,,,, ,Unknown,PIN_A15,,,,,,, ,Unknown,PIN_A25,,,,,,, ,Unknown,PIN_AB7,,,,,,, ,Unknown,PIN_AB11,,,,,,, ,Unknown,PIN_AB16,,,,,,, ,Unknown,PIN_AB19,,,,,,, ,Unknown,PIN_AC4,,,,,,, ,Unknown,PIN_AD9,,,,,,, ,Unknown,PIN_AD14,,,,,,, ,Unknown,PIN_AD18,,,,,,, ,Unknown,PIN_AE1,,,,,,, ,Unknown,PIN_AE26,,,,,,, ,Unknown,PIN_AF2,,,,,,, ,Unknown,PIN_AF12,,,,,,, ,Unknown,PIN_AF15,,,,,,, ,Unknown,PIN_AF25,,,,,,, ,Unknown,PIN_B1,,,,,,, ,Unknown,PIN_B26,,,,,,, ,Unknown,PIN_C14,,,,,,, ,Unknown,PIN_C18,,,,,,, ,Unknown,PIN_D4,,,,,,, ,Unknown,PIN_D24,,,,,,, ,Unknown,PIN_E7,,,,,,, ,Unknown,PIN_E11,,,,,,, ,Unknown,PIN_E16,,,,,,, ,Unknown,PIN_E19,,,,,,, ,Unknown,PIN_H5,,,,,,, ,Unknown,PIN_H13,,,,,,, ,Unknown,PIN_H14,,,,,,, ,Unknown,PIN_H22,,,,,,, ,Unknown,PIN_K20,,,,,,, ,Unknown,PIN_L5,,,,,,, ,Unknown,PIN_L22,,,,,,, ,Unknown,PIN_M1,,,,,,, ,Unknown,PIN_M26,,,,,,, ,Unknown,PIN_N8,,,,,,, ,Unknown,PIN_N19,,,,,,, ,Unknown,PIN_P8,,,,,,, ,Unknown,PIN_P19,,,,,,, ,Unknown,PIN_R1,,,,,,, ,Unknown,PIN_R21,,,,,,, ,Unknown,PIN_R26,,,,,,, ,Unknown,PIN_T5,,,,,,, ,Unknown,PIN_U8,,,,,,, ,Unknown,PIN_U19,,,,,,, ,Unknown,PIN_W5,,,,,,, ,Unknown,PIN_W13,,,,,,, ,Unknown,PIN_W14,,,,,,, ,Unknown,PIN_W22,,,,,,, ,Unknown,PIN_Y9,,,,,,, ,Unknown,PIN_Y17,,,,,,, ,Unknown,PIN_AC24,,,,,,, ,Unknown,PIN_N21,,,,,,, ,Unknown,PIN_Y2,,,,,,,