MAINTAINING CHINAS DEPENDENCE ON DEMOCRACIES FOR ADVANCED COMPUTER CHIPS SAIF M. KHAN AND CARRICK APRIL 2020 EXECUTIVE SUMMARY The Chinese government is investing tens of billions of dollars in itscomputerchipfactoriesand may eventually achieve global state-of-the-art manufacturing capabilities. However, China can succeed only if the United States, Japan, and the Netherlands continue to sell it the manufacturing equipment necessary to operate its chip factories. If these states deny access to this specialized equipment, China would find it nearly impossible to develop or maintain advanced chip factories for the foreseeable future. Countering the Chinese government's market-distorting subsidies with such export controls would shift chip factory capacity to democracies, especially the United States, Taiwan, and South Korea. As a result, the firms making specialized manufacturing equipment for chips would experience little to no long-term revenue loss from such export controls, and may even benefit from working with more reliable partners in these democracies. It is in the security interests of democratic states, including the United States, for China to remain reliant on democracies for state-of-the-art chips. Advanced weapons systems and many emerging technologies for surveillance and oppression depend on state-of-the-art chips — currently produced only by firms in the United States, Taiwan, and South Korea. Maintaining exclusive control of these chips will allow democracies to implement targeted end-use and end-user export controls on them, largely preempting China's development and use of many dangerous or destabilizing technologies. THE VALUE OF STATE-OF-THE-ART COMPUTER CHIPS State-of-the-art computer chips underpin many of today's strategically important emerging technologies, including artificial intelligence, 5G, autonomous drones, and surveillance tools. They also power supercomputers, which are essential for everything from cryptography to the design of hypersonic weapons and the latest generation of nuclear weapons. In addition to foreseeable uses, it is safe to bet that chips will play a central role in future generations of advanced weapons. Chips are the products of one of the world's most complex supply chains.1 Their capabilities hinge upon the size of their transistors: the elements of computer circuits that perform calculations. Those with the smallest transistors — state-of-the-art chips — are critical for computer systems requiring cost-effective and fast operation. The state-of-the-art advances through time because of Moore's Law, which observes that innovations have led to a shrinking in transistors that doubles their number per chip every two years. Figure 1 shows the premium attained by state-of-the-art chips, demonstrating that competitiveness in any of the computationally-intensive technologies mentioned above requires chips at or near the state-of-the-art. IN PARTNERSHIP WITH: @^PFJ CENTER/or SECURITY and I EMERGING TECHNOLOGY GLOBAL CHINA MAINTAINING CHINAS DEPENDENCE ON DEMOCRACIES FOR ADVANCED COMPUTER CHIPS FIGURE 1: CHIP SPEED AND COSTS AT DIFFERENT TRANSISTOR SIZES2 $40,000 10.0 $30,000 ■g $20,000 $10,000 $0 J mum 8.0 6.0 4.0 2.0 0.0 O 90 65 40 28 20 16 Transistor size (nanometers) 10 ONLY A SMALL NUMBER OF LEADING CHIP FACTORIES AND EQUIPMENT COMPANIES CAN EXIST AT THE STATE-OF-THE-ART Given the complexity and expense of fabricating state-of-the-art chips, only a few chip fabrication factories ("fabs") can profitably operate at or near the state-of-the-art. Table 1 and Figure 2 show the number of fabs currently operating or planning to operate at or below various chip transistor sizes. The trend is clear: for decades, firms producing state-of-the-art chips have dropped out as production costs continued to rise, demanding much larger economies of scale to be profitable.3 State-of-the-art chip fabs now cost more than $10 billion to build, making them the most expensive factories ever built.4 If only a small number of fabs can profitably exist, the question becomes whether they will be based in the United States and its partner democracies or in China. Today, each transistor in a state-of-the-art chip measures only 5 nanometers (nm) — the length a human fingernail grows in five seconds. Only two firms manufacture these chips: Taiwan-based Taiwan Semiconductor Manufacturing Corporation (TSMC) and South Korea-based Samsung. U.S.-based Intel aims to reach 5 nm in the next several years.5 Taken together, these three companies have decades of experience and tens of thousands of employees with highly specialized technical expertise making the companies difficult to dislodge from the top. China currently lacks any chip fabs at the state-of-the-art. However, its top chipmaker,6 Semiconductor Manufacturing International Corporation (SMIC), seeks to change that fact, and recently introduced the ability to manufacture a small number of chips with 14 nm transistors — half a decade behind the state-of-the-art — with the support of extensive state subsidies, intellectual property theft, and talent recruitment from Taiwan.7 TECHNOLOGY 2 GLOBAL CHINA MAINTAINING CHINAS DEPENDENCE ON DEMOCRACIES FOR ADVANCED COMPUTER CHIPS TABLE 1: NUMBER OF CHIPMAKERS WITH CURRENT OR PLANNED CAPACITY TO MAKE CHIPS OF CERTAIN TRANSISTOR SIZES AND BELOW, AS OF 2019, BY LOCATION OF HEADQUARTERS8 < Transistor size(nm) 180 130 90 65 45 32 22 16 10 7 5 Total Chipmakers 94 72 48 36 26 20 16 12 5 3 3 United States 24 18 11 Taiwan 9 9 6 South Korea 4 4 3 China 19 18 16 Japan 18 10 7 Other 20 13 5 Year this size reached mass production 1999 2001 2003 2005 2007 2009 2012 2014 2016 2018 2020 FIGURE 2: NUMBER OF CHIPMAKERS WITH CURRENT OR PLANNED CAPACITY TO MAKE CHIPS OF CERTAIN TRANSISTOR SIZES AND BELOW, AS OF 2019, BY LOCATION OF HEADQUARTERS9 Other ■ Japan China South Korea Taiwan United States 100 .a T3 Q. E .a E 180 (1999) 130 (2001) 90 (2003) 65 (2005) 45 (2007) 32 (2009) 22 (2012) 16 (2014) 10 (2016) 7 (2018) 5 (2020) Transistor size (nm) and year of first mass production TECHNOLOGY GLOBAL CHINA MAINTAINING CHINAS DEPENDENCE ON DEMOCRACIES FOR ADVANCED COMPUTER CHIPS Semiconductor manufacturing equipment (SME) is the primary and most complex input into the construction of fabs. In fact, SME accounts for as much as 80% of total fab construction costs.10 Just three countries control over 90% of global SME market share: the United States, the Netherlands, and Japan.11 Consolidation trends are even more dramatic among firms supplying SME than firms operating fabs. For example, only two companies — Netherlands-based ASML and Japan-based Nikon — sell essential photolithography equipment capable of manufacturing chips with <90 nm transistors at scale.12 ASML alone produces extreme ultraviolet photolithography equipment. The most advanced type of photolithography equipment, extreme ultraviolet lithography (EUV), is necessary to mass-produce state-of-the-art chips and can cost more than a billion dollars per fab.13 Meanwhile, China produces only about 2% of worldwide SME,14 and cannot replace imports of essential SME if export-controlled by the United States and its allies. CHINA LAGS, BUT IS BECOMING MORE SOPHISTICATED AT PRODUCING CHIPS At present, Chinese firms cannot produce state-of-the-art chips and almost all of their chip making capacity is over a decade behind the state-of-the-art. However, they are aggressively building up their domestic chip industry and harbor ambitions of catching up. Chinese firms now account for 15.2% of global chip fab capacity. As shown in Figure 3, they rank fourth globally — behind South Korea, the United States, and Taiwan — and Japan trails in fifth. Firms headquartered in these five economies account for 90.3% of global chip fab capacity. However, adjusting for the quality of that chip fab capacity — i.e. giving greater weight to the production of more advanced chips — reduces China's share to three percent of global capacity and increases the global lead of Taiwan, South Korea, and the United States from 63.7% to 91.7%. FIGURE 3: GLOBAL CHIP FAB CAPACITY BY FAB HEADQUARTERS15 ■ Chip fab capacity (quality-adjusted) ■ Chip fab capacity 50.0% - 42.8% 40.0% & 30.0% 10.0% 0.0% 27.6% .25.3% 21.3% 20.9% ■-17, i ■ r- 11.6 15.2% 9.7% l 1.0% Taiwan South Korea United States Japan China Other TECHNOLOGY 4 GLOBAL CHINA MAINTAINING CHINAS DEPENDENCE ON DEMOCRACIES FOR ADVANCED COMPUTER CHIPS FIGURE 4: GLOBAL CHIP FAB CAPACITY BY FAB HEADQUARTERS FOR NEAR-STATE-OF-THE-ART (<16 NM)16 Chip fab capacity at <16 nm (quality-adjusted) | Chip fab capacity at <16 nm 60.0% 52.1% 44.3% ra 40.0% o> 20.0% 0.0% 7.1% 2.5% Taiwan South Korea United States Japan China Other Figure 4 makes clearer how far behind China still lags in near-state-of-the-art chips, i.e. chips with <16 nm transistors. SMIC's 14 nm fabs represent only 2.6% of global chip fab capacity for near-state-of-the-art chips; adjusted for quality, this number further shrinks to 1.1%. And much of SMIC's 14 nm capacity is aspirational, so true capacity is likely even lower. Meanwhile, firms in the United States, Taiwan, and South Korea control 95.3% of quality-adjusted near-state-of-the-art chip fab capacity.17 However, as mentioned, China aims to catch up to the state-of-the-art. Revenue from 14 nm fabs is projected to increase from 7% of China's total fab revenues in 2020 to nearly 15% by 2023.18 Its share of global fab capacity has risen from 8% in 2007 to 15% today and is projected to continue to increase.19 Figure 5 demonstrates this trend: China's 2007-2021 projected market share improvement dramatically outstrips all other regions. North America, in particular, has seen a substantial loss in chip fab capacity share. Assuming the United States and its allies continue to export critical SME and China continues to dramatically subsidize its chip fabs, Chinese chip fabs will likely further penetrate the near-state-of-the-art chip market. This will lead to them displacing U.S., Taiwanese, and South Korean fabs in the process. If trends continue, U.S. fabs could suffer especially high displacement. TECHNOLOGY 5 GLOBAL CHINA MAINTAINING CHINAS DEPENDENCE ON DEMOCRACIES FOR ADVANCED COMPUTER CHIPS FIGURE 5: CHANGE IN CHIP FAB CAPACITY SHARE BY COUNTRY/REGION FROM 2007-2021 15.0% - —1-3:4% 03 -10.1% -15.0% - China South Korea Southeast Asia Taiwan Europe North America Japan CHINA FACES CHALLENGES IN ACHIEVING STATE-OF-THE-ART FABRICATION EVEN WITHOUT EQUIPMENT EXPORT CONTROLS China's success has resulted from an explicit industrial policy by the Chinese Communist Party (CCP) of advancing chip fab capacity to the state-of-the-art using imported SME. Although Chinese firms today produce a minority of chips consumed in China,21 the CCP seeks to move toward chip independence by 2030.22 China has so far managed growth rates in excess of market demand.23 Accordingly, its chip industry might succeed in developing state-of-the-art fabs if the United States, the Netherlands, and Japan continue to supply Chinese fabs with SME. Yet obstacles for China remain, and its industrial policy will struggle to deliver further progress even under favorable conditions. In the foreseeable future, China has little chance of developing a fully localized SME industry or localized chip supply chains, despite ambitions to do so.24 SME export controls imposed by the United States, the Netherlands, and Japan could decisively maintain China's continued dependency on democratic states for chips at or near state-of-the-art. Driven by the Made in China 2025 plan,25 China's industrial policy evidently aims to achieve the above goals through state subsidies, industrial espionage, forced technology transfer, and protectionism. China's industrial policy departs from international norms, both in the degree of market distortions produced by its state subsidies and in its ambition to localize its entire chip supply chain and achieve chip independence.26 The Chinese government plans to spend $150 billion on its chip industry over a span of 10 years.27 Of the initial $12.7 billion spent by one of the state funds as of 2017, 65 percent went to chip fabs ($8.3 billion) and eight percent to SME and materials ($1 billion).28 SMIC alone received nearly $6 billion between 2014 and 2018.29 Heavy state support may account for why China was — reflecting a market distortion — the second largest importer of U.S. SME by late 2018, ahead of Taiwan and slightly behind South Korea — both of which have more chip fab capacity than China.30 TECHNOLOGY 6 GLOBAL CHINA MAINTAINING CHINAS DEPENDENCE ON DEMOCRACIES FOR ADVANCED COMPUTER CHIPS Figure 6 shows that, as a percentage of revenue, state subsidies are vastly greater for Chinese chipmakers than for state-of-the-art chipmakers Intel, TSMC, and Samsung. SMIC receives a subsidy equivalent to over 40% of its revenue, as compared to between about 1 to 3% for the three state-of-the-art firms. Accordingly, the crown jewel of China's industrial policy has been SMIC's heavily subsidized development of a small amount of near-state-of-the-art 14 nm chip fab capacity. However, in addition to massive subsidies, SMIC's limited success required billions of dollars of intellectual property theft from Taiwan,32 and the poaching of perhaps a thousand engineers from Taiwan's chip industry.33 For SMIC to displace state-of-the-art chipmakers Intel, TSMC, and Samsung, China would need to greatly increase SMIC's subsidies. Intel, TSMC, and Samsung all have much larger revenues than SMIC and depend far less on state support, even though each receives state subsidies similar, in absolute terms, to the subsidies received by SMIC.34 For a further sense of scale, China's national-level chip subsidies of $18.8 billion by 2018 are dwarfed by just TSMC's $34 billion investment on new fabs.35 The outsized scale of the chip industry challenges even China's appetite for industrial subsidies. China could dramatically increase its chip subsidies, but these interventions may fail to create market-disciplined, internationally competitive fabs. China's chipmaker subsidies have focused almost entirely on below-market rate loans and equity for established companies.36 But historically, Chinese state-owned enterprises relying on below-market financing, including those in China's chip industry, have struggled with productivity and profitability in the open market.37 By contrast, subsidies to Intel, TSMC, and Samsung — which focus on tax breaks for R&D, capital investment, and corporate income — are relatively more efficient than China's SMIC subsidies.38 Industry-specific tax breaks for capital investment and corporate income risk capital misallocation. Yet R&D tax breaks can correct for market failures by producing foundational research breakthroughs that can have massive positive spillovers to the broader economy.39 TECHNOLOGY 7 GLOBAL CHINA MAINTAINING CHINAS DEPENDENCE ON DEMOCRACIES FOR ADVANCED COMPUTER CHIPS Chinese chip fabs are only about three generations behind the state-of-the-art, and the slowing of Moore's Law —given increasing difficulty in shrinking transistors — could allow subsidized Chinese fabs to catch up.40 In such a scenario, the current Dutch export ban on EUV photolithography equipment becomes all the more critical, preventing Chinese chip fabs from progressing beyond 7 nm transistors for the foreseeable future.41 The news is even worse for China's ambitions to develop a fully localized SME industry. While a few Chinese companies have recently entered the SME space,42 they produce only a small subset of the dozens of SME types essential to chip production, and their SME is too outdated for state-of-the-art fabs. Even with massive subsidies, China will face steep barriers in building a fully localized SME industry for four reasons: 1) poor resource allocation due to central planning, 2) lack of engineers with the necessary experience and know-how, 3) the technological complexity of SME, and 4) the first-mover advantages of top SME companies. China will especially struggle if SME becomes export controlled.43 Moreover, Chinese subsidies in this area have been only a trickle: as of 2017, China's national-level chip fund spent, at most, $1 billion on SME and materials, focusing instead on other parts of the chip supply chain.44 For the foreseeable future, China's prospects of developing a fully localized SME industry are bleak. THE UNITED STATES AND ITS ALLIES SHOULD ENSURE CHINESE DEPENDENCE ON IMPORTS FOR STATE-OF-THE-ART CHIPS If China succeeds in increasing its state-of-the-art chip fab capacity through subsidies, it will displace the chip fab capacity of democratic states. Chinese independence in — let alone control of — global state-of-the-art chip fab capacity would allow China to freely manufacture advanced military- and security-relevant technologies and significantly reduce U.S. and allied leverage over the CCP's conduct relating to human rights, or regional and global stability. The United States, Japan, the Netherlands, and other allies with SME market share can and should apply export controls on SME to prevent China from capturing state-of-the-art global chip fab capacity from the democracies that currently control it. If successful, this effort would enable the United States to work with Taiwan and South Korea to constrain China's use of chips—through end-useand end-user export controls45 — to ensure consistency with U.S., allied, and global interests. Importantly, to avoid import substitution by China's domestic chip fabs, these states should export chips to China for peaceful commercial purposes, with exceptions for the CCP's military and authoritarian surveillance apparatus.46 The highest priority for more stringent multilateral SME export controls should be photolithography equipment capable of manufacturing <45 nm transistors.47 These countries already apply <45 nm-capable photolithography export controls,48 but typically grant export licenses. This practice should be discontinued. In a commendable start to our suggested approach, the Dutch government recently decided not to renew an export license for ASML to ship EUV photolithography equipment to China.49 Additional priorities include the United States, Japan, and their allies applying more stringent export controls on other types of SME dominated by these countries.50 For these SME supply chain chokepoints, these countries should presumptively deny licenses to export to China.51 Foreign-owned fabs capable of manufacturing <45 nm in China should also be subject to export scrutiny.52 To promote cooperation on export controls and to compensate for the downsides — such as near-term revenue shortfalls — the United States and its allies could partner on semiconductor R&D to maintain their technological advantages. As shown in Figure 7, SME export controls could reduce China's chip fab capacity share from 15.2% to 10.6%. The effect on China's quality-adjusted chip fab capacity share would be more dramatic: a fall from 3 to 0.2% — effectively ending China's hope of competing in advanced chip production for the foreseeable future. Under the proposed export controls, China's current stock of already-imported <45 nm-capable SME would gradually reach end of life,53 and China's chip fabs capable of manufacturing chips with <45 nm transistors would face the prospect of shutting down.54 TECHNOLOGY 8 GLOBAL CHINA MAINTAINING CHINAS DEPENDENCE ON DEMOCRACIES FOR ADVANCED COMPUTER CHIPS FIGURE 7: CHINA'S CHIP FAB CAPACITY WITH AND WITHOUT SME EXPORT CONTROLS55 China's chip fab capacity share (quality-adjusted) ■ China's chip fab capacity share 20.0% 15.2% 15.0% o 10.0% 5.0% 0.0% 10.6% 3.0% 0.2% Status quo without equipment export controls After equipment export controls cause China to lose <45 nm chip fab capacity Chinese losses infab capacity would be U.S.,Taiwanese, and South Korean gains. Because global chip demand is independent of where chips are produced, export controls on SME would, in the long-term, shift China's lost chip fab capacity to the democracies at the state-of-the-art. Although SME companies may lose out on lucrative Chinese subsidies in the near-term,56 they would experience little revenue harm in the long-term. They would instead benefit from more reliable partners and from a weakened Chinese SME industry.57 In response to the Dutch denial of an export license for ASML's EUV photolithography equipment exports to China, ASML's CEO Peter Wennink said, "if we cannot ship to customer A or country B, we'll ship it to customer C and country D" to meet growing global chip demand, including from China.58 In addition to the advantages of trading with more reliable partners, these SME firms can help undercut the effectiveness of the CCP's market-distorting subsidies and give democracies greater leverage against the CCP's military modernization and human rights violations. If SME export controls successfully reduce China's chip fab capacity, the United States, Taiwan, and South Korea — the only remaining economies with significant near-state-of-the-art chip fab capacity59 — could coordinate on further, targeted end-use and end-user controls to advance the cause of human rights and global stability. TECHNOLOGY 9 REFERENCES 1 "Beyond Borders: The Global Semiconductor Value Chain" (San Jose, CA: Semiconductor Industry Association, May 2016), 3-15, https://www.semiconductors.org/wp-content/uploads/2018/06/SIA-Bevond-Borders-Report-FINAL-June-7.pdf. 2 Data based on Center for Security and Emerging Technology (CSET) chip economics model and CSET analysis of TSMC's reported node-by-node speed improvements. Saif M. Khan and Alexander W. Mann, "Al Chips: What They Are and Why They Matter" (Washington, DC: Center for Security and Emerging Technology, April 2020), https ://cset. georgetown. 6du/wp-cont6nt/uplo3ds/AI-Chips—Wh3t-Th6v-Ar6-3nd- )df. The model uses the chip specifications of an Nvidia P100 graphics processing unit. The model also assumes that at each transistor size, the same number of transistors are manufactured. This means that larger transistor sizes require larger (or more) chips. 3 Neil Thompson and Svenja Spanuth, "The Decline of Computers As a General Purpose Technology: Why Deep Learning and the End of Moore's Law are Fragmenting Computing," SSRN, December 12, 2018, 32-35, https:// papers.ssrn.com/sol3/papers.cfm?abstract id=3287769. 4 "A look inside the factory around which the modern world turns," The Economist, December 18, 2019, https://www.economist.com/christmas-specials/2019/12/18/a-look-inside-the-factorv-around-which-the-modern-world-turns. 5 Ian Cuttress, "Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm," AnandTech, December 11, 2019, https://www.anandtech.com/show/15217/intels-manufacturing-roadmap-from-2019-to-2029. 6 In this paper, "chipmaker" refers to a company that operates chip fabs. 7 These efforts are discussed in more detail on pages 6-7. 8 Data from "World Fab Forecast," (Milpitas, CA: SEMI, May 2019 edition), https://www.semi.org/en/news-resources/market-data/world-fab-fo recast. 9 Data from "World Fab Forecast," SEMI, May 2019 edition. 10 The 80% estimate comes from "World Fab Forecast," SEMI, May 2019 edition. The Semiconductor Industry Association estimates that SME accounts for 57% of fab capital expenses. John Neuffer, "U.S. Standing in Key S&T Fields: Computing Devices Panel," (slides for presentation at National Academy of Sciences meeting, February 11, 2020), 15. 11 "2016 Top Markets Report Semiconductors and Related Equipment" (Washington, DC: U.S. International Trade Administration, July 2016), 5, https://legacv.trade.gov/topmarkets/pdf/Semiconductors Top Markets Report.pdf. 12 Saif M. Khan and Alexander W. Mann, "Al Chips." 13 "TSMC purchases lithography machines with $2.2 billion for the second generation 7nm mass production making Apple and Huawei being overjoyed!" ELINFOR, February 21, 2019, https://www.elinfor.com/news/tsmc-purchases-lithographv-machines-with-22-billion-for-the-second-generation-7nm-mass-production-making-apple-and-huawei-being-overioved-p-11016. 14 Based on analysis of Chinese SME company financial reports. 10 15 Data from "World Fab Forecast," SEMI, May 2019 edition. Chip fab capacity refers to the total number of wafers (the raw input from which chips are manufactured) processed per month. Quality-adjusted chip fab capacity is equal to the number of transistors manufactured on wafers per month. This measure captures quality because companies with the capacity to manufacture smaller transistors can pack transistors more densely on their chips, therefore manufacturing more transistors at a given level of chip production. However, there are several acknowledged limitations in the way we estimate the number of transistors manufactured. First, many fab sites process different transistor sizes, so not all of their capacity is at the smallest transistor size they support. Because it is difficult to get the specific breakdown of capacity by transistor size, we used the simplifying assumption that 100% of capacity of each site is at the smallest supported transistor size. Second, the data includes the smallest transistor size planned for any given fab site, even if fabrication at that size has not begun. Third, in cases where a fab site is owned by two companies headquartered in different countries, we allocate its fab capacity equally between the co-owners. 16 Data from "World Fab Forecast," SEMI, May 2019 edition. 17 Japan's near-state-of-the-art capacity is exclusively a memory chip collaboration between U.S. and Japanese companies, while most of the "other" category encompasses research fabs in Italy and Belgium that lack global strategic relevance. When organizing the data by fab location rather than fab headquarters, China's chip fab capacity share is 22.6%, its quality-adjusted chip fab capacity share is 6.1%, its near-state-of-the-art (<16 nm) chip fab capacity share is 3.2%, and its quality-adjusted near-state-of-the-art chip fab capacity share is 1.2%. The non-Chinese-headquartered chip fabs in China with <45 nm capacity are owned by U.S., Taiwanese, and South Korean chipmakers: TSMC (16 nm), SK Hynix (18 nm), Intel (20 nm), Samsung (21 nm), GlobalFoundries (22 nm), and UMC (28 nm). 18 Data from "Global Manufacturing Market Tracker," IHS Markit, September 2019, https://ihsmarkit.com/ products/global-manufacturing-market-tracker.html. 19 Data from "World Fab Forecast," SEMI, August 2018 edition. Data for 2018 to 2021 are projections. 20 Ibid. 21 There are methodological challenges in determining the exact percentage. Typically, the percentage is estimated by dividing revenues of China's semiconductor industry by China's semiconductor market size. In 2018, the Chinese semiconductor industry produced $24 billion in revenue. This number excludes the operations of non-Chinese semiconductor companies in China. "2019 Factbook," Semiconductor Industry Association, May 20, 2019, 2-3, https://www.semiconductors.org/resources/2019-sia-factbook/. By comparison, in 2018, China consumed $155 billion worth of chips. "Can We Believe The Hype About China's Domestic IC Production Plans?" IC Insights, June 13, 2019, http://www.icinsights.com/news/bulletins/Can-We-Believe-The-Hvpe-About-Chinas-Domestic-IC-Production-Plans/. With these numbers, we obtain an estimate of 15%. However, the $155 billion market size includes chips packaged into other devices that were later exported. When excluding these exports, China's chip consumption is much lower. Dan Kim and John VerWey, "The Potential Impacts of the Made in China 2025 Roadmap on the Integrated Circuit Industries in the U.S., EU and Japan," (Washington, DC: U.S. International Trade Commission, August 2019), 22-23, https://www.usitc.gov/ publications/332/working papers/id 19 061 china integrated circuits technology roadmap final 080519 kirn verwev-508 compliant.pdf. 22 Dan Kim and John VerWey, "The Potential Impacts of the Made in China 2025 Roadmap," 2. 23 "Measuring distortions in international markets: The semiconductor value chain" (Paris: Organisation for Economic Cooperation and Development, December 12, 2019), 91-94, http://dx.doi.org/10.1787/8fe4491d- 11 24 "Guideline for the Promotion of the Development of the National Integrated Circuit Industry," State Council, People's Republic of China, 2014, httDs://members.wto.org/CRNAttachments/2014/SCM02/law47.pdf. 25 "Made in China 2025," State Coucil, People's Republic of China, July 7, 2015, http://www.cittadellascienza. it/cina/wp-content/uploads/2017/02/loT-ONE-Made-in-China-2025.Pdf. 26 Samuel M. Goodman, Dan Kim, and John VerWey, "The South Korea-Japan Trade Dispute in Context: Semiconductor Manufacturing, Chemicals, and Concentrated Supply Chains," (Washington, DC: U.S. International Trade Commission, October 2019), 2-3, https://usitc.gov/Publications/332/working papers/the south korea-iapan trade dispute in context semiconductor manufacturing chemicals and concentrated supply chains.pdf. 27 Yuan Gao, "China Is Raising Up to $31.5 Billion to Fuel Chip Vision," Bloomberg, March 1, 2018, https:// www.bloomberg.com/news/articles/2018-03-01/china-is-said-raising-up-to-31-5-billion-to-fuel-chip-vision. The $150 billion includes funding from two rounds of funding totalling more than $50 billion by China's National Integrated Circuit (IC) Investment Fund. "China IC Ecosystem Report" (Milpitas, CA: SEMI, 2018 edition), 7, https://www.semi.org/en/news-resources/market-data/china-ic-ecosvstem: Sarah Dai, "China completes second round of US$29 billion Big Fund aimed at investing in domestic chip industry," South China Morning Post, July 26, 2019, https://www.scmp.com/tech/science-research/article/3020172/china-said-complete-second-round-us29-billion-fund-will. As of 2018, $18.8 billion (86%) of the National IC Fund had been allocated. Alan Patterson, "Semiconductors: China Goes Its Own Way," EE Times Asia, April 3, 2018, https://www.eetasia. com/news/article/18040301-semiconductors-china-goes-its-own-wav. The $150 billion also includes even more funding from provincial and municipal funds. See "China IC Ecosystem Report," SEMI, 10. 28 "China IC Ecosystem Report," SEMI, 7. Another analysis suggests that the first round of China's National IC Fund allocated only about $500 million to SME companies. "[We have totaled the recipients from the first investment phase of the Big Fund]," HER [Xueqiu], December 25, 2019, https://xueaiu. com/8186228019/137965308. 29 "Measuring distortions in international markets," OECD, 84. 30 "Economics and Trade Bulletin," (Washington, DC: U.S.-China Economic and Security Review Commission, January 11, 2019), 9, https://www.uscc.gov/sites/default/files/Research/Januarv%202019%20Trade%20 Bulletin.pdf. China has also spared U.S. SME imports from tariffs. Ibid. 31 Data from "Measuring distortions in international markets," OECD, 84. For the non-Chinese companies, not all state-subsidies are from the companies' home countries. Additionally, the true subsidy percentage for Tsinghua Unigroup's chip fabs may be even higher than shown in Figure 6; while the firm's revenue reflects many businesses besides chip fabs, China's subsidies have focused on its chip fabs. 32 Douglas B. Fuller, Paper Tigers, Hidden Dragons: Firms and the Political Economy of China's Technological Development (Oxford: Oxford University Press, 2016), 137. 33 Ibid., 135; Yimou Lee, "China lures chip talent from Taiwan with fat salaries, perks," Reuters, September 4, 2018, https://www.reuters.com/article/us-china-semiconductors-taiwan-insight/china-lures-chip-talent-from-taiwan-with-fat-salaries-perks-idUSKCNILKOHl. 34 Because Intel, TSMC, and Samsung have such large revenues compared to SMIC, even small state subsidies as a percentage of their revenue result in absolute subsidies over 2014-2018 that are similar to SMIC's $6 billion state subsidy over the same time period. "Measuring distortions in international markets," OECD, 84. 35 China's national-level chip subsidies allocated as of 2018 are from Alan Patterson, "Semiconductors." TSMC's spending is accurate as of mid-2019. "World Fab Forecast," SEMI, May 2019 edition. 12 36 Ibid., 84, 93. 37 Ibid., 97, 98; Douglas B. Fuller, Paper Tigers, 118, 156; Douglas B. Fuller, "Growth, Upgrading, and Limited Catch-Up in China's Semiconductor Industry," in Policy, Regulation and Innovation in China's Electricity and Telecom Industries, eds. Loren Brandt and Thomas G. Rawski (Cambridge: Cambridge University Press, 2019), 262-303, https://doi.org/10.1017/9781108645997.007. 38 "Measuring distortions in international markets," OECD, 62. 39 Ibid., 90-92. 40 For discussion on the importance of and the difficulty of acquiring know-how for complex technologies, see Andrea Gilli and Mauro Gilli, "Why China Has Not Caught Up Yet: Military-Technological Superiority and the Limits of Imitation, Reverse Engineering, and Cyber Espionage," International Security 43, no. 3 (February 15, 2019): 141-189, https://www.mitpressiournals.org/doi/full/10.1162/isec a 00337. 41 Even if Chinese chip fabs do later obtain access to EUV tools, they would need time to tinker before reaching low enough manufacturing error rates to enable mass production. TSMC, which is now introducing 5 nm chip fabs, experimented considerably before they could use EUV-based manufacturing techniques at scale. For discussion on TSMC's efforts to reduce error rates for EUV-based 5 nm manufacturing, see Ian Cuttress, "Early TSMC 5nm Test Chip Yields 80%, HVM Coming in HI 2020," AnandTech, December 11, 2019, https://www. anandtech.com/show/15219/earlv-tsmc-5nm-test-chip-vields-80-hvm-coming-in-hl-2020. 42 These include companies such as AMEC, Naura, and SMEE. 43 China's development of its chip industry has relied heavily on importing Taiwanese experts. However, Taiwan, like China, does not have a significant SME industry. If SME is export-controlled by the United States, Japan, and the Netherlands, it will be illegal for experts from these countries to provide their expertise in China. While China has been able to attract Taiwanese experts with high salaries and no legal consequences, it seems unlikely it will be able to attract as many Americans, Japanese, and Dutch. 44 See footnote 28. 45 An example end-user export control would be a ban on exports of chips to the Chinese military. An example end-use export control would be a ban on exports to any Chinese entity using chips in weapons. 46 To avoid import substitution by China's domestic chip fabs, export controls on chips may be inadvisable until strict SME export controls succeed in limiting China's chip fab capacity. Carrick Flynn, "Recommendations on Export Controls for Artificial Intelligence" (Washington, DC: Center for Security and Emerging Technology, February 2020, httPs://cset.george p-content/up I030S/ Recommendations-on-Export-Controls-for-Artificial-lntelligence.pdf). 47 This equipment includes EUV photolithography equipment supplied by the Netherlands and argon fluoride immersion photolithography equipment supplied by the Netherlands and Japan. Saif M. Khan, "Maintaining the Al Chip Competitive Advantage of the United States and its Allies" (Washington, DC: Center for Security and Emerging Technology, December 2019), 4, https://cset.georgetown.edu/wp-content/uploads/CSET-Maintaining-the-AI-Chip-Competitive-Advantage-of-the-United-States-and-its-Allies-20191206.pdf. 48 See, e.g., "U.S. Commerce Control List, Supplement No. 1 to Part 774, Category 3," (Washington, DC: Bureau of Industry and Security, May 23, 2019), 38-39, https://www.bis.doc.gov/index.php/documents/regulations-docs/2334-ccl3-8/file. EUV photolithography equipment is used to fabricate chips with state-of-the-art 5 nm transistors and argon fluoride (ArF) immersion photolithography is used to fabricate chips with transistors between 45 nm and 7 nm. The wording of the existing export controls capture all EUV photolithography equipment but do not capture more advanced forms of ArF immersion photolithography equipment. This loophole should be closed. 13 49 Alexandra Alper, Toby Sterling, and Stephen Nellis, "Trump administration pressed Dutch hard to cancel China chip-equipment sale: sources," Reuters, January 6, 2020, https://www.reuters.com/article/us-asml-holding-usa-china-insight-idUSKBNlZ50HN. 50 For various types of SME, countries besides the United States, Japan, and the Netherlands may need to participate in multilateral export controls. CSET has preliminarily identified the following SME as potential targets for export controls by the following countries: e-beam lithography equipment (the United States, Japan, and Germany), chemical mechanical planarization equipment (the United States, Japan, and South Korea), ion implanters (the United States, Japan, and Taiwan), dry stripping equipment (the United States, Japan, the Netherlands, South Korea, and Taiwan), wafer inspection equipment (the United States, Japan, and possibly other countries depending on specific details), and conductor etching equipment (the United States, Japan, and South Korea), especially atomic layer etching equipment. A forthcoming CSET report will analyze these SME chokepoints in more detail. 51 Saif M. Khan, "Maintaining the Al Chip Competitive Advantage," 5. 52 U.S., Taiwanese, and South Korean chipmakers with <45 nm chip fab capacity also operate in China. See footnote 16. The choice of whether to permit SME exports to these chip fabs is difficult. One option is to approve licenses for existing foreign-owned chip fabs — so long as they comply with end-use and end-user export controls — but deny licenses that would facilitate upgrades or new construction of foreign-owned chip fabs. Export controls on <45 nm-capable SME that spare U.S., Taiwanese, and South Korean chip fabs in China would reduce the capacity share of chip fabs in China (including foreign-owned fabs) from 22.6% to 18.0% and the quality-adjusted capacity share from 6.1% to 3.3%. 53 SME companies offer repair services for the equipment they sell. However, export controls could prevent these companies from offering these repair services to Chinese chipmakers. Our scenario assumes Chinese companies are unable to perform adequate upkeep on their own over the long-term. We believe this assumption is reasonable, as the scale of refurbished SME sales — which account for a large percentage of all SME sales — speaks to the expense and technical difficulty of repair. John VerWey, "What's Causing U.S. Semiconductor Equipment Production and Exports to Grow?" (Washington, DC: U.S. International Trade Commission, January 2019), 2, https://www.usitc.gov/publications/332/executive briefings/ebot John verwev semi manufacturing equipment Pdf.pdf. 54 There is recent precedent for the inability of Chinese chip fabs to import-substitute in response to export controls. In 2018, the United States applied strict export controls on the Chinese chipmaker Fujian Jinhua as it was receiving and bringing online SME in its new $6 billion fab. In response, U.S. and Dutch SME companies immediately withdrew support staff. As a result, Fujian Jinhua halted operations. "The Chipmaker Caught in U.S. Assault on China's Tech Ambitions," Bloomberg, November 25, 2018, https://www.bloomberg.com/news/ articles/2018-ll-25/the-chipmaker-caught-in-u-s-assault-on-china-s-tech-ambitions: Kathrin Hille, "Trade war forces Chinese chipmaker Fujian Jinhua to halt output," Financial Times, January 28, 2019, https://www.ft.com/ content/87b5580c-22bf-lle9-8ce6-5db4543da632. 55 Data from "World Fab Forecast," SEMI, May 2019 Edition. 56 For SME company revenue exposure to China, see Saif M. Khan, "Maintaining the Al Chip Competitive Advantage," 4. 57 See footnote 43. 58 Toby Sterling, "ASML sees no impact from China trade war, good growth in 2020," Yahoo News, January 22, 2020, https://www.vahoo.com/news/asml-sees-no-impact-china-144103191.html. 14 59 Leading chipmakers headquartered in the United States, Taiwan, and South Korea have fabs in foreign countries. For example, U.S.-based Intel and GlobalFoundries own <22 nm fabs in Israel, Ireland, Germany, and even one fab in China. The United States, Taiwan, and South Korea would therefore have to carefully craft export controls to cover sales by these foreign-located fabs. 15 ABOUT THE AUTHORS Saif M. Khan is a research fellow at Georgetown's Center for Security and Emerging Technology (CSET). Saif's research focuses on Al policy, semiconductor supply chains, China's semiconductor industry, and U.S. trade policy. Previously, he was an intellectual property attorney at Brinks Gilson & Lione and at several technology companies including Hewlett-Packard. Saif has a J.D. (cum laude) from the Ohio State University Moritz College of Law and a B.S. (cum laude) in physics and M.A. in physics from Wayne State University. Carrick Flynn is a research fellow at Georgetown's Center for Security and Emerging Technology (CSET) focused on national security and technology law and Al policy. Previously, Carrick served as the founding Assistant Director of the Center for the Governance of Al at the University of Oxford. He has lived and worked in public interest organizations in the United States, Kenya, Liberia, Timor-Leste, India, Malaysia, Ethiopia, and the United Kingdom. He studied at Yale Law School, where he received his J.D., and the University of Oregon, where he graduated summa cum laude with a degree in Economics and International Studies. ACKNOWLEDGEMENTS For helpful discussions, comments, and input, great thanks go to Jeff Alstott, Tarun Chhabra, Douglas Fuller, Alexander Mann, Kathryn Mecrow-Flynn, Igor Mikolic-Torreira, Dahlia Peterson, and Helen Toner. Ted Reinert, Alexandra Vreeman, and Lynne Weil edited this paper, and Rachel Slattery provided layout. The authors are solely responsible for all mistakes. The Brookings Institution is a nonprofit organization devoted to independent research and policy solutions. Its mission is to conduct high-quality, independent research and, based on that research, to provide innovative, practical recommendations for policymakers and the public. The conclusions and recommendations of any Brookings publication are solely those of its author(s), and do not reflect the views of the Institution, its management, or its other scholars.